Portál AbcLinuxu, 7. června 2024 08:26

Programovatelná logika VIII: Shrnutí, literatura, odkazy

20.1.2015 09:56 | Přečteno: 1984× | Výběrový blog | poslední úprava: 20.1.2015 22:02

Závěrečný díl teoretické části seriálu o programovatelné logice přináší především seznam literatury a odkazů pro další studium. Případná další pokračování by již měla být zaměřena na praktické příklady.

Obsah seriálu


6 Shrnutí, literatura, odkazy

Seriál přinesl souhrn základních informací o programovatelných logických obvodech, jejich vnitřních strukturách, použití, principech vývoje aplikací a vývojových prostředcích. Dále seriál lehce představil nejdůležitější jazyky používané pro návrh a simulace logických obvodů. Samostatná kapitola byla věnována vlivu asynchronních signálů na funkci synchronních logických obvodů a způsobům jak se vyhnout případným problémům.

Kompletní problematika vývoje komplexních logických systémů založených na programovatelných logických obvodech mnohonásobně přesahuje rozsah tohoto seriálu. Zájemci o tento obor mohou nastudovat další informace v odkazech a literatuře uvedené v této závěrečné části.

6.1 Výrobci programovatelných logických obvodů

Dva nejvýznamnější světoví výrobci programovatelných logických obvodů jsou (v abecedním pořadí) firmy Altera a Xilinx. Další dva významní hráči na tomto poli jsou firmy Lattice Semiconductor a Microsemi. Zájemce o programovatelnou logiku najde na webových stránkách těchto firem obrovské množství dokumentace k jejich programovatelným logickým obvodům, vývojovým prostředím, ale i obecné a praktické informace týkající se vývoje programovatelné logiky.

Webové stránky výrobců programovatelných logických obvodů:

Kromě literatury a dokumentace poskytují všichni tito výrobci na webu ke stažení i jejich vývojová prostředí. Ta je možné získat jak v placených verzích, tak i zdarma s částečně omezenou funkcionalitou. Verze poskytované zdarma obvykle podporují pouze menší obvody, což pro seznámení s programovatelnou logikou nebo menší projekty nemusí být vůbec překážkou.

6.2 Návrhové a verifikační jazyky

Jazyky VHDL, Verilog, SystemVerilog, SystemC, PSL jsou v dnešní době standardizovány organizací IEEE. Souhrn odkazů na jednotlivé standardy je uveden na webu organizace Accellera, která vývoj těchto jazyků zastřešuje:

Některé standardy jsou k dispozici zdarma, za některé je třeba zaplatit licenční poplatek. Obecně je ale třeba zmínit, že tyto standardy definují jednotlivé jazyky a jsou nutné pro jejich implementaci v různých nástrojích. Pro výuku a používání těchto jazyků nejsou standardy příliš nevhodné. Naštěstí existuje mnoho knih věnovaných popisu a výuce návrhových a verifikačních jazyků. Pro představu stačí zadat název jazyka jako klíčové slovo do vyhledávače na některém velkém internetovém knihkupectví.

Některé doporučené knihy:

Kromě knih je možné využívat i různé online zdroje:

Kromě učebnic a různých seminářů existuje plno literatury věnované obecně vývoji číslicových systémů, návrhu integrovaných obvodů, metodologii návrhu i verifikace. Opět stačí zadat správná klíčová slova v internetových obchodech a při výběru se řídit čtenářskými recenzemi a zveřejněnými náhledy knih. Z online zdrojů bych rád zviditelnil následující projekty:

6.3 Vývojové Nástroje

Následuje přehled nejdůležitějších vývojových nástrojů pro FPGA. Některé z těchto systémů jsou poměrně drahé komerční nástroje, některé jsou poskytovány zdarma alespoň v částečně funkčně omezených verzích. Často se jedná o omezení podpory těch největších a nejrychlejších FPGA, zatímco běžnější a dostupnější obvody jsou plně podporovány.

6.3.1 Kompletní vývojová prostředí

Kompletní vývojová prostředí pro FPGA poskytují především výrobci programovatelných logických obvodů.

6.3.2 HDL simulátory

V současné době vyvíjí vlastní HDL simulátor pouze jediný výrobce programovatelných logických obvodů, a to firma Xilinx. Všichni ostatní výrobci poskytují upravené verze simulátorů jiných firem. Samozřejmě je vždy možné používat plnohodnotnou verzi některého „velkého“ simulátoru, který obvykle běží rychleji a podporuje mnoho pokročilých funkcí, třeba pro podporu formální verifikace a podobně.

Kromě ModelSim a Active-HDL hrají další simulátory ve světě FPGA minimální roli. Jedná se obvykle o velmi rozsáhlé a také drahé systémy podporující různé metody formální verifikace a analýzy, které se používají v návrhu komplexních integrovaných obvodů.

6.3.3 Nástroje pro syntézu

Samostatné nástroje pro syntézu třetích stran v poslední době ztrácejí na významu. Někteří výrobci FPGA používají upravené verze standardních nástrojů ve svých kompletních vývojových prostředích. Většina však vyvíjí vlastní syntezátory, které již dávají natolik dobré výsledky, že použití dalšího specializovaného nástroje má opodstatnění pouze ve speciálních případech.

Nejdůležitější samostatné nástroje pro syntézu:

6.4 Literatura v češtině

Přestože nabídka české literatury věnované problematice programovatelné logiky nedosahuje šíře té anglicky psané, je i u nás k dispozici několik knih určených především začátečníkům.

Kromě těchto aktuálních knih samozřejmě existují různé starší učebnice číslicové techniky, které jsou stále použitelné pro získání základních znalostí o logických obvodech. Programovatelné logické obvody pouze úžasným způsobem rozšiřují možnosti co se týče rychlosti velikosti navrhovaných obvodů.

       

Hodnocení: 100 %

        špatnédobré        

Tiskni Sdílej: Linkuj Jaggni to Vybrali.sme.sk Google Del.icio.us Facebook

Komentáře

Nástroje: Začni sledovat (1) ?Zašle upozornění na váš email při vložení nového komentáře. , Tisk

Vložit další komentář

20.1.2015 11:06 Dadam | skóre: 12 | blog: dadamovo
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
Odpovědět | Sbalit | Link | Blokovat | Admin
Pár poznámek:
A i B mají svoje výhody a nevýhody. Vyberte si to, co vám vyhovuje víc, a necpěte A tam, kam patří B.
20.1.2015 16:10 sebastian
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
Směl bych se zeptat, co to ta Programovatelná logika ?
20.1.2015 21:03 Dadam | skóre: 12 | blog: dadamovo
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
Na první díl ses díval?
A i B mají svoje výhody a nevýhody. Vyberte si to, co vám vyhovuje víc, a necpěte A tam, kam patří B.
20.1.2015 21:43 hw | skóre: 23 | blog: Digital Design
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy

Designer's Guide to VHDL je skutečně hodně obsáhlá, ale dá se použít i jako učebnice. Sám ji používám jako referenci, když potřebuji jednou za čas použít nějakou ne úplně běžnou část jazyka. Jako trochu jednodušší alternativa se dá použít Ashendenova další kniha The Student's Guide to VHDL, která je už spíše učebnicí.

Na Free Range VHDL jsem úplně zapomněl, a přitom je to v podstatě jediná svobodná kniha věnovaná VHDL. Navíc není úplně špatná a pro pochopení jazyka a jeho běžné praktické použití naprosto dostačuje. Díky za připomenutí, do blogu ji doplním.

Jendа avatar 20.1.2015 11:43 Jendа | skóre: 78 | blog: Jenda | JO70FB
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
Odpovědět | Sbalit | Link | Blokovat | Admin
Z online zdrojů
fpga4fun, a to nejen pro FPGAčkaře.
Já to s tou denacifikací Slovenska myslel vážně.
20.1.2015 21:46 hw | skóre: 23 | blog: Digital Design
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy

Díky za připomenutí. Web znám a přidám ho do blogu.

21.1.2015 09:22 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
Odpovědět | Sbalit | Link | Blokovat | Admin
ISE sice částečně podporuje i obvody řady 7, ale ne všech a na špatné úrovni
Jo to byla legrace, ve škole jsem dělal rekonfigurovatelnou partišnu v PlanAheadu pro nějakej kintex 7 a vždycky to při mapu házelo nějakou superpodivnou chybu (zarovnání-přeříznutí propojovací matice a CLB). A nic tomu nepomáhalo. Nakonec jsem zjistil, že planAhead zapisuje úplně jiné bloky (definující tu partišnu), než zobrazuje a opravit to jde tím, že se ručně zapíšou chybný hranice partišny (oddělené SLICEM a SLICEL v jednom CLB) a ono to pak FUNGUJE :-D.

Očividně když to dohackovávali do ISE 14 tak zapomněli na nějakou trivialitku typu <0,n> vs. <1,n+1> . :-D

→ chtělo by to vlastní toolchain :-D
Intel meltdown a = arr[x[0]&1]; karma | 帮帮我,我被锁在中国房
21.1.2015 09:52 hw | skóre: 23 | blog: Digital Design
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy

A to nejsou jediné problémy. Jednoznačně doporučuji používat ISE pouze pro FPGA do řady 6. Vše pro 7 a výš dělat rozhodně ve Vivadu.

Když to srovnám s Alterou, tak ta udržuje Quartus zpětně kompatibilní a konzistentní. Tam se podobné problémy moc nevyskytují. Pro Xilinx je často třeba udržovat některé starší projekty v konkrétní historické verzi ISE.

12.5.2015 12:44 Jarkol
Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
Odpovědět | Sbalit | Link | Blokovat | Admin
Velmi hezký seriál. Jenom myslím, že je škoda, že v odkazech na českou literaturu jsou jen knihy z nakladatelství BEN. Taky není uvedeno nic o Verilogu nebo o SystemVerilogu. Stačí ale do vyhledávače, jako je Google zadat např. Verilog kniha.

Založit nové vláknoNahoru

ISSN 1214-1267, (c) 1999-2007 Stickfish s.r.o.