abclinuxu.cz AbcLinuxu.cz itbiz.cz ITBiz.cz HDmag.cz HDmag.cz abcprace.cz AbcPráce.cz
Inzerujte na AbcPráce.cz od 950 Kč
Rozšířené hledání
×
    dnes 01:00 | Nová verze

    ESPHome, tj. open source systém umožňující nastavovat zařízení s čipy ESP (i dalšími) pomocí konfiguračních souborů a připojit je do domácí automatizace, například do Home Assistantu, byl vydán ve verzi 2024.4.0.

    Ladislav Hagara | Komentářů: 0
    včera 22:11 | IT novinky Ladislav Hagara | Komentářů: 0
    včera 20:55 | Nová verze

    Neziskové průmyslové konsorcium Khronos Group vydalo verzi 1.1 specifikace OpenXR (Wikipedie), tj. standardu specifikujícího přístup k platformám a zařízením pro XR, tj. platformám a zařízením pro AR (rozšířenou realitu) a VR (virtuální realitu). Do základu se z rozšíření dostalo XR_EXT_local_floor. Společnost Collabora implementuje novou verzi specifikace do platformy Monado, tj. open source implementace OpenXR.

    Ladislav Hagara | Komentářů: 2
    včera 17:22 | Nová verze

    Byla vydána nová verze 0.38.0 multimediálního přehrávače mpv (Wikipedie) vycházejícího z přehrávačů MPlayer a mplayer2. Přehled novinek, změn a oprav na GitHubu. Požadován je FFmpeg 4.4 nebo novější a také libplacebo 6.338.2 nebo novější.

    Ladislav Hagara | Komentářů: 1
    včera 17:11 | Nová verze

    ClamAV (Wikipedie), tj. multiplatformní antivirový engine s otevřeným zdrojovým kódem pro detekci trojských koní, virů, malwaru a dalších škodlivých hrozeb, byl vydán ve verzích 1.3.1, 1.2.3 a 1.0.6. Ve verzi 1.3.1 je mimo jiné řešena bezpečnostní chyba CVE-2024-20380.

    Ladislav Hagara | Komentářů: 1
    včera 12:11 | IT novinky

    Digitální a informační agentura (DIA) oznámila (PDF, X a Facebook), že mobilní aplikace Portál občana je ode dneška oficiálně venku.

    Ladislav Hagara | Komentářů: 7
    včera 05:11 | Komunita

    #HACKUJBRNO 2024, byly zveřejněny výsledky a výstupy hackathonu města Brna nad otevřenými městskými daty, který se konal 13. a 14. dubna 2024.

    Ladislav Hagara | Komentářů: 2
    17.4. 17:55 | IT novinky

    Společnost Volla Systeme stojící za telefony Volla spustila na Kickstarteru kampaň na podporu tabletu Volla Tablet s Volla OS nebo Ubuntu Touch.

    Ladislav Hagara | Komentářů: 3
    17.4. 17:44 | IT novinky

    Společnost Boston Dynamics oznámila, že humanoidní hydraulický robot HD Atlas šel do důchodu (YouTube). Nastupuje nová vylepšená elektrická varianta (YouTube).

    Ladislav Hagara | Komentářů: 1
    17.4. 15:11 | Nová verze

    Desktopové prostředí LXQt (Lightweight Qt Desktop Environment, Wikipedie) vzniklé sloučením projektů Razor-qt a LXDE bylo vydáno ve verzi 2.0.0. Přehled novinek v poznámkách k vydání.

    Ladislav Hagara | Komentářů: 5
    KDE Plasma 6
     (68%)
     (10%)
     (2%)
     (19%)
    Celkem 556 hlasů
     Komentářů: 4, poslední 6.4. 15:51
    Rozcestník


    Vložit další komentář
    Yin avatar 4.10.2005 09:27 Yin | skóre: 39 | blog: Yinotopia | Slovesnko, Košice
    Rozbalit Rozbalit vše Áno, seriál splnil svoj cieľ
    Pekný serál... síce som ho nečítal, ale to len s pragmatických dôvodov. V každom prípade ma táto problematika začala okrajovo zaujímať. Článkov s podobnou tématikou by som na Abcéčku uvítal viac... len tak ďalej!
    Nikdy nie je tak zle, aby to jeden poharik nemohol ešte zhorsiť.
    4.10.2005 10:44 zde | skóre: 9 | blog: Linuch | Brno
    Rozbalit Rozbalit vše Slušný dotaz, nikoliv pokus o flame war
    Seriál nesleduji od začátku, takže jsem možná odpověď na můj dotaz přehlédl, přesto se zeptám: Proč používáte VHDL místo Verilogu?

    Verilog je jednoznačně jednodušší, stručnější, existuje na něj open source simulátor (icarus verilog), a především velké množství už hotových komponentů (opencores.org). Dva roky jsem dělal HW design, a používali jsme Synopsis HDL, takže myslím že Verilog je více používaný i v komerční sféře. Zřejmě budete mít pro VHDL nějaké důvody, rád bych je slyšel.

    Zajímavé srovnání v použitelnosti, včetně praktických zkušeností, je zde:

    http://www.cs.bilkent.edu.tr/~baray/cs224/VRLG95A.htm
    Táto, ty de byl? V práci, já debil.
    4.10.2005 11:36 David Jež | skóre: 42 | blog: -djz | Brno
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Je to určitě věcí zvyku a názoru jako všechno. A komerčně se používají jak VHDL tak Verilog. Verilog se v komerční sféře používá více v USA (IMHO stejně jenom ze setrvačnosti, si musím po té tvé reklamě trošku rýpnout :-), na východě se používá oboje, v Evropě zase spíše VHDL... takže tak jednoznačné to není. Jinak Verilog má možná open source simulátor, nicméně samotný je propietární a zatížen licencováním, zatímco VHDL je otevřený standard. Existují pro něj jak open source simulátory, tak také komplet simulátor -- syntezátor -- router. Verilog je sice jednodušší, o to je zas ale VHDL komplexnější. Na návrh procesorů, případně architektur se také nemusí použít ani jedno, ale můžeš vycházet třeba z IDL či ADL.

    Jinak není to jedno kdo co používá? Není trolovatění se proč každý nepoužívá ten můj nejoblíbenější super tuper jazyk dětinské?
    -djz

    "Yield to temptation; it may not pass your way again." -- R. A. Heinlein
    4.10.2005 12:09 zde | skóre: 9 | blog: Linuch | Brno
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    To samozřejmě dětinské je, každý ať používá co chce.. ještě dětinštější ale je prohlásit "ukážeme si VHDL, protože u nás je nejpoužívanější" (věta z prvního dílu, o jejíž pravdivosti se dá pochybovat), a ostatní jednoduše ignorovat.

    VHDL je otevřený standard s proprietárními rozšířeními (viz link). Verilog proprietární není, protože pak by jeho open source implementace automaticky byla ilegální, ne?
    Táto, ty de byl? V práci, já debil.
    4.10.2005 13:32 David Jež | skóre: 42 | blog: -djz | Brno
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Pamatuji se, že propietární byl a určitě byl jako propietární vytvářen. Jestli ho nějak otevřeli nevím, ale i to je možné.
    -djz
    "Yield to temptation; it may not pass your way again." -- R. A. Heinlein
    5.10.2005 10:37 zde | skóre: 9 | blog: Linuch | Brno
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    VHDL je otevřený standard. Existují pro něj jak open source simulátory, tak také komplet simulátor -- syntezátor -- router.

    Nějaký link by nebyl? Díky.
    Táto, ty de byl? V práci, já debil.
    5.10.2005 22:14 finn | skóre: 43 | blog: finnlandia | 49° 44´/13° 22´
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Nějaké povídání o programu Alliance je na serveru opencores.org. Pro simulaci stačí i komerční program (existuje verze zdarma) VHDL Simili. Pak ještě existuje FreeHDL, ale netuším v jakém stavu zrovna zamrzl vývoj.
    Užívej dne – možná je tvůj poslední.
    4.10.2005 13:37 Honza
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Neznám autorovy důvody pro volbu VHDL, ale ideální by bylo uvádět v seriálu vždy implementaci jak ve VHDL, tak i ve Verilogu.

    Živím se návrhem hardwaru už dost dlouho a jsem schopen používat oba jazyky. Často vytvářím smíšené návrhy s kombinací obou jazyků, případně ještě EDIF modulů. Osobně však preferuji VHDL. Uznávám, že Verilog je stručnější a jednodušší. Na druhou stranu, zápis ve VHDL mi přijde díky své "ukecanosti" přehlednější a hlavní důvod, proč mám radši VHDL je STRIKTNÍ TYPOVÁ KONTROLA. Někdo to může považovat za nevýhodu, mně to vyhovuje.

    Co se týče používanosti, myslím, že to je tak zhruba 1:1. Při mé práci mi projde rukama plno IP od různých firem a nemužu říct, že by některý z HDL výrazně převažoval. A pokud si projdete IP na opencores.org trochu podrobněji, všimnete si, že nejsou všechny ve Verigu, jak by se mohlo podle vašeho příspěvku zdát.

    Nevím co je Synopsys HDL. Osobní zkušenost mám se Synopsys Design Compiler (syntéza) a Synopsys VCS (verifikace) a můžu vás ujistit, že tyto produkty podporují kromě jiného i oba zmiňované HDL. Vůbec, co se týče podpory HDL, všechny slušné nástroje používané v komerční sféře podporují oba jazyky (Verilog i VHDL) a často ještě SystemVerilog a SystemC. Výjimkou jsou levné nástroje (např. VHDL Simili), open-source nástroje (např. Icarus Verilog) nebo levnější varianty komplexních nástrojů (např. ModelSim LE).

    Vámi uvedené srovnání VHDL a Verilogu mi přijde trošku zaujaté. Ono se asi není moc co divit, protože jeho autorem je bývalý předseda OVI (Open Verilog Initiative) z doby, kdy ještě OVI a VHDL International byly dvě konkurenční instituce. Mimochodem, od roku 2000 jsou obě instituce sloučeny do jedné (Accelera), která se stará o vývoj a standardizaci obou jazyků.

    Tím jsem se trochu zastal VHDL i autora seriálu, i když vlastní seriál se mi osobně nelíbil. Jeho přínos vidím v tom, že možná v někom podnítí zájem o vývoj hardware a sám si začne hledat další informace.
    4.10.2005 15:06 Alex
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Pekne jste to vystihl. Chtel jsem napsat neco podobneho. Take jiz nejaky ten patek pracuji v teto oblasti a me zkusenosti jsou naprosto totozne.

    Jeste by chtelo napsat, ze ten clanek je z roku 1995. Jiste vsichni vime co 10 let znamena v teto oblasti. A navic z tohoto clanku primo cisi autorova povrchni znalost VHDL.
    6.10.2005 01:36 jmm
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Ja bych se zas zastal autora;)) Az tak moc si nemyslim ze by VHDL znal povrchne (I kdyz kdo zna VHDL nepovrchne??) Pouzivam jak syntetizovatelne VHDL, tak simulacni VHDL a mohu rict ze je to hodne obsahly a bohaty jazyk. Nicmene je faktem ze je hodne ukecany a hlavne mi trosku vadi ze stejna vec jde udelat tisicem zpusobu... mam spis namysli volnou syntaxi jako je napr. ukoncovani procesu, atd...
    5.10.2005 10:40 zde | skóre: 9 | blog: Linuch | Brno
    Rozbalit Rozbalit vše Asi máte pravdu
    .. bude to zhruba 1 : 1.
    Táto, ty de byl? V práci, já debil.
    6.10.2005 02:31 Luděk Crha | skóre: 17
    Rozbalit Rozbalit vše Re: Slušný dotaz, nikoliv pokus o flame war
    Vas dotaz jsem zrejme prehledl, omlouvam se.

    S Verilogem jsem se setkal zatim pouze pasivne. To ze pisete ze je vice pouzivany me dost udivuje, alespon co se tyce CR. Pokusne jsem zadal fraze "vhdl" a "verilog" do google pro CR, a pomer mi vysel asi 20:1 pro VHDL. I kdyz o ucinnosti teto metody by se dalo polemizovat, prijde mi to jako dost jednoznacny vysledek. Za dotaz dekuji, rozhodne se na toto tema rozvinula zajimava diskuse.

    Co se tyce dalsich komentaru: Tento serial byl urcen hlavne lidem, kteri maji urcite znalosti o strukture procesoru a HW navrhu, ale nevedi nic (nebo skoro nic) o HDL jazycich. Pokud bych pouzival pokrocilejsi konstrukce jazyka, nebo dokonce jazyky dva, vedlo by to pouze ke zmateni.
    15.10.2005 15:17 ondracch | skóre: 19 | blog: Mozková kreč
    Rozbalit Rozbalit vše Jak na simulator
    Začínám s VHDL a potřeboval by jsem radu jak obsluhovat ModelSim XEIII 6.0a. Něco jsem našel na netu, ale je to jen textový popis který nekoresponduje s mojí verzí simulatoru. Mohl by někdo udělat nějaký Step by step návod a přidat tam i nějaké screenshoty.

    Děkuji
    Proč myslíš, že nemůžeš žít jinak? Pořád Tvořím...

    Založit nové vláknoNahoru

    Tiskni Sdílej: Linkuj Jaggni to Vybrali.sme.sk Google Del.icio.us Facebook

    ISSN 1214-1267   www.czech-server.cz
    © 1999-2015 Nitemedia s. r. o. Všechna práva vyhrazena.