abclinuxu.cz AbcLinuxu.cz itbiz.cz ITBiz.cz HDmag.cz HDmag.cz abcprace.cz AbcPráce.cz
Inzerujte na AbcPráce.cz od 950 Kč
Rozšířené hledání
×
    dnes 13:44 | Nová verze

    Byla vydána (𝕏) nová major verze 17 softwarového nástroje s webovým rozhraním umožňujícího spolupráci na zdrojových kódech GitLab (Wikipedie). Představení nových vlastností i s náhledy a videi v oficiálním oznámení.

    Ladislav Hagara | Komentářů: 0
    dnes 12:22 | Komunita

    Sovereign Tech Fund, tj. program financování otevřeného softwaru německým ministerstvem hospodářství a ochrany klimatu, podpoří vývoj FFmpeg částkou 157 580 eur. V listopadu loňského roku podpořil GNOME částkou 1 milion eur.

    Ladislav Hagara | Komentářů: 0
    dnes 01:55 | Komunita

    24. září 2024 budou zveřejněny zdrojové kódy přehrávače Winamp.

    Ladislav Hagara | Komentářů: 7
    včera 23:33 | Nová verze

    Google Chrome 125 byl prohlášen za stabilní. Nejnovější stabilní verze 125.0.6422.60 přináší řadu oprav a vylepšení (YouTube). Podrobný přehled v poznámkách k vydání. Opraveno bylo 9 bezpečnostních chyb. Vylepšeny byly také nástroje pro vývojáře.

    Ladislav Hagara | Komentářů: 0
    včera 21:11 | Nová verze

    Textový editor Neovim byl vydán ve verzi 0.10 (𝕏). Přehled novinek v příspěvku na blogu a v poznámkách k vydání.

    Ladislav Hagara | Komentářů: 0
    včera 20:55 | Nová verze

    Byla vydána nová verze 6.3 živé linuxové distribuce Tails (The Amnesic Incognito Live System), jež klade důraz na ochranu soukromí uživatelů a anonymitu. Přehled změn v příslušném seznamu. Tor Browser byl povýšen na verzi 13.0.15.

    Ladislav Hagara | Komentářů: 0
    včera 13:33 | IT novinky

    Dnes ve 12:00 byla spuštěna první aukce domén .CZ. Zatím největší zájem je o dro.cz, kachnicka.cz, octavie.cz, uvycepu.cz a vnady.cz [𝕏].

    Ladislav Hagara | Komentářů: 8
    včera 13:22 | Nová verze

    JackTrip byl vydán ve verzi 2.3.0. Jedná se o multiplatformní open source software umožňující hudebníkům z různých částí světa společné hraní. JackTrip lze instalovat také z Flathubu.

    Ladislav Hagara | Komentářů: 0
    včera 12:22 | Pozvánky

    Patnáctý ročník ne-konference jOpenSpace se koná 4. – 6. října 2024 v Hotelu Antoň v Telči. Pro účast je potřeba vyplnit registrační formulář. Ne-konference neznamená, že se organizátorům nechce připravovat program, ale naopak dává prostor všem pozvaným, aby si program sami složili z toho nejzajímavějšího, čím se v poslední době zabývají nebo co je oslovilo. Obsah, který vytváří všichni účastníci, se skládá z desetiminutových

    … více »
    Zdenek H. | Komentářů: 0
    včera 03:11 | Nová verze

    Program pro generování 3D lidských postav MakeHuman (Wikipedie, GitHub) byl vydán ve verzi 1.3.0. Hlavní novinkou je výběr tvaru těla (body shapes).

    Ladislav Hagara | Komentářů: 9
    Podle hypotézy Mrtvý Internet mj. tvoří většinu online interakcí boti.
     (74%)
     (5%)
     (10%)
     (10%)
    Celkem 296 hlasů
     Komentářů: 16, poslední 14.5. 11:05
    Rozcestník

    Programovatelná logika VIII: Shrnutí, literatura, odkazy

    20.1.2015 09:56 | Přečteno: 1984× | Výběrový blog | poslední úprava: 20.1.2015 22:02

    Závěrečný díl teoretické části seriálu o programovatelné logice přináší především seznam literatury a odkazů pro další studium. Případná další pokračování by již měla být zaměřena na praktické příklady.

    Obsah seriálu


    6 Shrnutí, literatura, odkazy

    Seriál přinesl souhrn základních informací o programovatelných logických obvodech, jejich vnitřních strukturách, použití, principech vývoje aplikací a vývojových prostředcích. Dále seriál lehce představil nejdůležitější jazyky používané pro návrh a simulace logických obvodů. Samostatná kapitola byla věnována vlivu asynchronních signálů na funkci synchronních logických obvodů a způsobům jak se vyhnout případným problémům.

    Kompletní problematika vývoje komplexních logických systémů založených na programovatelných logických obvodech mnohonásobně přesahuje rozsah tohoto seriálu. Zájemci o tento obor mohou nastudovat další informace v odkazech a literatuře uvedené v této závěrečné části.

    6.1 Výrobci programovatelných logických obvodů

    Dva nejvýznamnější světoví výrobci programovatelných logických obvodů jsou (v abecedním pořadí) firmy Altera a Xilinx. Další dva významní hráči na tomto poli jsou firmy Lattice Semiconductor a Microsemi. Zájemce o programovatelnou logiku najde na webových stránkách těchto firem obrovské množství dokumentace k jejich programovatelným logickým obvodům, vývojovým prostředím, ale i obecné a praktické informace týkající se vývoje programovatelné logiky.

    Webové stránky výrobců programovatelných logických obvodů:

    Kromě literatury a dokumentace poskytují všichni tito výrobci na webu ke stažení i jejich vývojová prostředí. Ta je možné získat jak v placených verzích, tak i zdarma s částečně omezenou funkcionalitou. Verze poskytované zdarma obvykle podporují pouze menší obvody, což pro seznámení s programovatelnou logikou nebo menší projekty nemusí být vůbec překážkou.

    6.2 Návrhové a verifikační jazyky

    Jazyky VHDL, Verilog, SystemVerilog, SystemC, PSL jsou v dnešní době standardizovány organizací IEEE. Souhrn odkazů na jednotlivé standardy je uveden na webu organizace Accellera, která vývoj těchto jazyků zastřešuje:

    Některé standardy jsou k dispozici zdarma, za některé je třeba zaplatit licenční poplatek. Obecně je ale třeba zmínit, že tyto standardy definují jednotlivé jazyky a jsou nutné pro jejich implementaci v různých nástrojích. Pro výuku a používání těchto jazyků nejsou standardy příliš nevhodné. Naštěstí existuje mnoho knih věnovaných popisu a výuce návrhových a verifikačních jazyků. Pro představu stačí zadat název jazyka jako klíčové slovo do vyhledávače na některém velkém internetovém knihkupectví.

    Některé doporučené knihy:

    Kromě knih je možné využívat i různé online zdroje:

    Kromě učebnic a různých seminářů existuje plno literatury věnované obecně vývoji číslicových systémů, návrhu integrovaných obvodů, metodologii návrhu i verifikace. Opět stačí zadat správná klíčová slova v internetových obchodech a při výběru se řídit čtenářskými recenzemi a zveřejněnými náhledy knih. Z online zdrojů bych rád zviditelnil následující projekty:

    6.3 Vývojové Nástroje

    Následuje přehled nejdůležitějších vývojových nástrojů pro FPGA. Některé z těchto systémů jsou poměrně drahé komerční nástroje, některé jsou poskytovány zdarma alespoň v částečně funkčně omezených verzích. Často se jedná o omezení podpory těch největších a nejrychlejších FPGA, zatímco běžnější a dostupnější obvody jsou plně podporovány.

    6.3.1 Kompletní vývojová prostředí

    Kompletní vývojová prostředí pro FPGA poskytují především výrobci programovatelných logických obvodů.

    6.3.2 HDL simulátory

    V současné době vyvíjí vlastní HDL simulátor pouze jediný výrobce programovatelných logických obvodů, a to firma Xilinx. Všichni ostatní výrobci poskytují upravené verze simulátorů jiných firem. Samozřejmě je vždy možné používat plnohodnotnou verzi některého „velkého“ simulátoru, který obvykle běží rychleji a podporuje mnoho pokročilých funkcí, třeba pro podporu formální verifikace a podobně.

    Kromě ModelSim a Active-HDL hrají další simulátory ve světě FPGA minimální roli. Jedná se obvykle o velmi rozsáhlé a také drahé systémy podporující různé metody formální verifikace a analýzy, které se používají v návrhu komplexních integrovaných obvodů.

    6.3.3 Nástroje pro syntézu

    Samostatné nástroje pro syntézu třetích stran v poslední době ztrácejí na významu. Někteří výrobci FPGA používají upravené verze standardních nástrojů ve svých kompletních vývojových prostředích. Většina však vyvíjí vlastní syntezátory, které již dávají natolik dobré výsledky, že použití dalšího specializovaného nástroje má opodstatnění pouze ve speciálních případech.

    Nejdůležitější samostatné nástroje pro syntézu:

    6.4 Literatura v češtině

    Přestože nabídka české literatury věnované problematice programovatelné logiky nedosahuje šíře té anglicky psané, je i u nás k dispozici několik knih určených především začátečníkům.

    Kromě těchto aktuálních knih samozřejmě existují různé starší učebnice číslicové techniky, které jsou stále použitelné pro získání základních znalostí o logických obvodech. Programovatelné logické obvody pouze úžasným způsobem rozšiřují možnosti co se týče rychlosti velikosti navrhovaných obvodů.

           

    Hodnocení: 100 %

            špatnédobré        

    Tiskni Sdílej: Linkuj Jaggni to Vybrali.sme.sk Google Del.icio.us Facebook

    Komentáře

    Vložit další komentář

    20.1.2015 11:06 Dadam | skóre: 12 | blog: dadamovo
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
    Pár poznámek:
    • Existuje nějaká kniha, která by popisovala právě ty praktické aspekty?
    • Designer's guide jsem v ruce měl, ale přišlo mi to spíš jako referenční "příručka" (viz. velikost).
    • Číslicové systémy a jazyk VHDL je zaměřená spíš na ty číslicové systémy (ne že by to bylo na škodu).
    • Hodně se mi líbila kniha Free Range VHDL.
    • Velmi, velmi se mi líbí tento seriál.
    A i B mají svoje výhody a nevýhody. Vyberte si to, co vám vyhovuje víc, a necpěte A tam, kam patří B.
    20.1.2015 16:10 sebastian
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
    Směl bych se zeptat, co to ta Programovatelná logika ?
    20.1.2015 21:03 Dadam | skóre: 12 | blog: dadamovo
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
    Na první díl ses díval?
    A i B mají svoje výhody a nevýhody. Vyberte si to, co vám vyhovuje víc, a necpěte A tam, kam patří B.
    20.1.2015 21:43 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy

    Designer's Guide to VHDL je skutečně hodně obsáhlá, ale dá se použít i jako učebnice. Sám ji používám jako referenci, když potřebuji jednou za čas použít nějakou ne úplně běžnou část jazyka. Jako trochu jednodušší alternativa se dá použít Ashendenova další kniha The Student's Guide to VHDL, která je už spíše učebnicí.

    Na Free Range VHDL jsem úplně zapomněl, a přitom je to v podstatě jediná svobodná kniha věnovaná VHDL. Navíc není úplně špatná a pro pochopení jazyka a jeho běžné praktické použití naprosto dostačuje. Díky za připomenutí, do blogu ji doplním.

    Jendа avatar 20.1.2015 11:43 Jendа | skóre: 78 | blog: Jenda | JO70FB
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
    Z online zdrojů
    fpga4fun, a to nejen pro FPGAčkaře.
    20.1.2015 21:46 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy

    Díky za připomenutí. Web znám a přidám ho do blogu.

    21.1.2015 09:22 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
    ISE sice částečně podporuje i obvody řady 7, ale ne všech a na špatné úrovni
    Jo to byla legrace, ve škole jsem dělal rekonfigurovatelnou partišnu v PlanAheadu pro nějakej kintex 7 a vždycky to při mapu házelo nějakou superpodivnou chybu (zarovnání-přeříznutí propojovací matice a CLB). A nic tomu nepomáhalo. Nakonec jsem zjistil, že planAhead zapisuje úplně jiné bloky (definující tu partišnu), než zobrazuje a opravit to jde tím, že se ručně zapíšou chybný hranice partišny (oddělené SLICEM a SLICEL v jednom CLB) a ono to pak FUNGUJE :-D.

    Očividně když to dohackovávali do ISE 14 tak zapomněli na nějakou trivialitku typu <0,n> vs. <1,n+1> . :-D

    → chtělo by to vlastní toolchain :-D
    21.1.2015 09:52 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy

    A to nejsou jediné problémy. Jednoznačně doporučuji používat ISE pouze pro FPGA do řady 6. Vše pro 7 a výš dělat rozhodně ve Vivadu.

    Když to srovnám s Alterou, tak ta udržuje Quartus zpětně kompatibilní a konzistentní. Tam se podobné problémy moc nevyskytují. Pro Xilinx je často třeba udržovat některé starší projekty v konkrétní historické verzi ISE.

    12.5.2015 12:44 Jarkol
    Rozbalit Rozbalit vše Re: Programovatelná logika VIII: Shrnutí, literatura, odkazy
    Velmi hezký seriál. Jenom myslím, že je škoda, že v odkazech na českou literaturu jsou jen knihy z nakladatelství BEN. Taky není uvedeno nic o Verilogu nebo o SystemVerilogu. Stačí ale do vyhledávače, jako je Google zadat např. Verilog kniha.

    Založit nové vláknoNahoru

    ISSN 1214-1267   www.czech-server.cz
    © 1999-2015 Nitemedia s. r. o. Všechna práva vyhrazena.